VLSI Design with Alliance Free CAD Tools: an Implementation Example



Título del documento: VLSI Design with Alliance Free CAD Tools: an Implementation Example
Revue: Ingeniería. Investigación y tecnología
Base de datos: PERIÓDICA
Número de sistema: 000383134
ISSN: 1405-7743
Autores: 1
1
2
1
Instituciones: 1Centro de Ingeniería y Desarrollo Industrial, Cuautitlán, Estado de México. México
2Universidad de Guadalajara, Centro Universitario de Ciencias Exactas e Ingenierías, Guadalajara, Jalisco. México
Año:
Periodo: Jul-Sep
Volumen: 16
Número: 3
Paginación: 441-452
País: México
Idioma: Inglés
Tipo de documento: Artículo
Enfoque: Aplicado, descriptivo
Resumen en español En este artículo se presenta la metodología usada en el diseño de un circuito integrado digital que implementa el protocolo de comunicación denominado Interface de Periféricos Serial, utilizando el sistema CAD Alliance. La finalidad es mostrar cómo la tarea del diseño VLSI puede ser realizada por estudiantes o profesionistas, con un mínimo de recursos y experiencia. El diseño físico fue enviado para su fabricación usando el proceso CMOS AMI C5 caracterizado por un tamaño de transistor de 0.5 micrometros, auspiciado por el programa educativo de MOSIS. Las pruebas se realizaron sobre una plataforma que transfiere los datos desde mediciones de un sensor inercial hacia el chip SPI diseñado, el cual a su vez envía los datos de nuevo por un bus paralelo hacia un microcontrolador común. Los resultados mostraron la eficacia de la metodología de diseño VLSI empleada, así como la factibilidad de fabricación de diseños realizados en proyectos escolares cuyas fuentes de financiamiento sean insuficientes o nulas
Resumen en inglés This paper presents the methodology used for a digital integrated circuit design that implements the communication protocol known as Serial Peripheral Interface, using the Alliance CAD System. The aim of this paper is to show how the work of VLSI design can be done by graduate and undergraduate students with minimal resources and experience. The physical design was sent to be fabricated using the CMOS AMI C5 process that features 0.5 micrometer in transistor size, sponsored by the MOSIS Educational Program. Tests were made on a platform that transfers data from inertial sensor measurements to the designed SPI chip, which in turn sends the data back on a parallel bus to a common microcontroller. The results show the efficiency of the employed methodology in VLSI design, as well as the feasibility of ICs manufacturing from school projects that have insufficient or no source of funding
Disciplinas: Ingeniería
Palabras clave: Ingeniería electrónica,
Circuitos integrados,
Diseño de circuitos,
Diseño asistido por computadora (CAD),
Programas educativos
Keyword: Engineering,
Electronic engineering,
Integrated circuits,
Circuit design,
Computer-aided design (CAD),
Educational programs
Texte intégral: Texto completo (Ver HTML)