Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes



Título del documento: Implementación sobre FPGA de un Algoritmo LMS para un arreglo de antenas inteligentes
Revista: Revista técnica de la Facultad de Ingeniería. Universidad del Zulia
Base de datos: PERIÓDICA
Número de sistema: 000414288
ISSN: 0254-0770
Autores: 1
2
3
Instituciones: 1Universidad Nacional Experimental Politécnica de la Fuerza Armada Bolivariana, Coordinación de Electrónica, Maracay, Aragua. Venezuela
2Universidad de Carabobo, Facultad de Ingeniería, Naguanagua, Carabobo. Venezuela
3Fundación Instituto de Ingeniería para Investigación y Desarrollo Tecnológico, Laboratorio de Electromagnetismo Aplicado, Sartenejas, Miranda. Venezuela
Año:
Periodo: Dic
Volumen: 37
Número: 3
Paginación: 270-278
País: Venezuela
Idioma: Español
Tipo de documento: Artículo
Enfoque: Aplicado, descriptivo
Resumen en español Este artículo presenta el diseño de un algoritmo adaptativo, propuesto para aplicaciones en arreglos de antenas con pesos ajustables. Este algoritmo fue seleccionado por su simplicidad, eficiencia y desempeño, haciendo idónea su implementación sobre dispositivos de hardware reconfigurable. La metodología desarrollada inicia con el diseño del caso de estudio y la el análisis del algoritmo LMS en el control adaptativo del patrón de radiación del arreglo de antenas de cuatro elementos, usando MATLAB™ v.7.6., seguido de la definición del modelo, los componentes, la descripción del comportamiento usando sintaxis VHDL para su diseño sobre un FPGA, usando la herramienta de Xilinx ISE 11.1. Finalmente, la validación del circuito diseñado se presentó a través de la simulación con ModelSim 5.7. Obteniendo como resultado los reportes de síntesis de recursos empleados en el diseño desarrollado
Resumen en inglés This paper presents a design of an adaptive algorithm, proposed for applications in arrays of antennas with adjustable weight. This algorithm was selected because of its simplicity, efficiency and performance; which makes it idoneous to be implemented on a reconfigurable hardware device. The methodology developed begins with the design of the study case and the analysis of the LMS algorithm in the adaptive control of the radiation pattern for the four element antenna array, using MATLAB™ v.7.6., then the definition of the model, the components, the description of the behavior using the syntax in VHDL for the design on a FPGA device, using the ISE 10.1 Xilinx tool. Finally, the validation of the designed circuit is presented through simulations using ModelSim 5.7. Resulting in synthesis reports resources used in the design developed
Disciplinas: Ingeniería,
Ciencias de la computación
Palabras clave: Ingeniería de telecomunicaciones,
Programación,
Arreglo de antenas,
Antenas inteligentes,
Algoritmos adaptivos
Keyword: Engineering,
Computer science,
Telecommunications engineering,
Programming,
Antenna array,
Smart antenna,
Adaptive algorithms
Texto completo: Texto completo (Ver HTML)